智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 2747|回复: 2
打印 上一主题 下一主题

为何程序里面编译说PORTH有问题

[复制链接]

2

主题

27

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
392
威望
308
贡献
48
兑换币
0
注册时间
2010-3-1
在线时间
18 小时
跳转到指定楼层
1#
发表于 2010-3-11 23:10:07 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
程序最前面是#include <mc9s12dg128.h>

开始几行有
#define Led3 PORTE_BIT4
#define Led4 PORTH_BIT4

编译的时候提示 PORTH_BIT4 not declared(or typename)

为什么同样的位置有PORTE就不会编译出错呢??莫非dg128没有H口?

2

主题

27

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
392
威望
308
贡献
48
兑换币
0
注册时间
2010-3-1
在线时间
18 小时
2#
 楼主| 发表于 2010-3-12 10:27:11 | 只看该作者
明白了,应该写 PTH_PTH4
原来不同口的命名是不一样的......
回复 支持 反对

使用道具 举报

11

主题

146

帖子

0

精华

常驻嘉宾

Rank: 8Rank: 8

积分
4766

优秀会员奖章活跃会员奖章论坛元老奖章

QQ
威望
2070
贡献
2500
兑换币
13
注册时间
2010-9-11
在线时间
98 小时
3#
发表于 2011-5-3 17:31:41 | 只看该作者
感谢楼主啊,这个问题这两天我也百思不得其解,还以为要自己去定义头文件呢
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-6-16 11:46 , Processed in 0.045930 second(s), 31 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表