智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 3935|回复: 3
打印 上一主题 下一主题

关于mc9s12dg128的一个AD程序!求解~

[复制链接]

2

主题

12

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
477
威望
218
贡献
247
兑换币
20
注册时间
2010-8-16
在线时间
6 小时
跳转到指定楼层
1#
发表于 2010-8-26 20:22:51 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
主要是想熟悉下各个模块,今天做到AD时,想用 dg128的AN7做单一输入口采样,然后通过串口和PORTB口的led显示采集到的数据。
我编好了,不过程序好像一直停留在if(ATD0STAT1_CCF7==1)  检测转换完成与否这……
求解!
代码如下:

#include <hidef.h>      /* common defines and macros */
#include "derivative.h"      /* derivative-specific definitions */

void mcuinit()
{
DisableInterrupts;     //forbid interrupt
CLKSEL_PLLSEL=0;       //choose the crystal
PLLCTL_PLLON=0;        // close off the pll
SYNR=0X02;             // set the rate
REFDV=0X01;            // pllclk=2*oscclk*(synr+1)/(refdv+1)
PLLCTL_PLLON=1;        // open the pll
while((CRGFLG&0X08)==0X00);  // check whether the pll is steady
CLKSEL_PLLSEL=1;       //choose the pllclk ,now fbus=24mhz
EnableInterrupts;
}


void sciinit()
{
unsigned char t;
SCI0BD=156; //设置波特率为9600根据公式=fbus/9600/16
//?SCI0BDH=0X00; //先低后高
SCI0CR1=0X00; // 8位传送,无奇偶校验
SCI0CR2=0X0C;  //允许发送接收,禁止中断

t=SCI0DRL;  //读取数据,状态寄存器,清零
t=SCI0SR1;
}

void ADinit()

{
  ATD0CTL2=0XC0;//开电源,快速清除使能
  ATD0CTL3=0X08; //转换个数一个,非先入先出
  ATD0CTL4=0X8B;//8位精度,2个a/d转换周期,atdclk=1mhz    一共12个atdclk=2+2+8
  ATD0CTL5=0XA7; //右对齐 连续转换 无符号,单通道,选择模拟AN7通道
  ATD0DIEN=0X00; //禁止数字输入
}                       
  

void main(void)

{

  mcuinit();
  ADinit();
  sciinit();
  DDRB=0XFF;
  PORTB=0xff;
  EnableInterrupts;
  while(1)
  {
   
if(ATD0STAT1_CCF7==1)
{
  PORTB=ATD0DR7L;
   if(SCI0SR1_TDRE==1)
   {
   
  SCI0DRL=ATD0DR7L;
  }
  }


}
}

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

2

主题

12

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
477
威望
218
贡献
247
兑换币
20
注册时间
2010-8-16
在线时间
6 小时
2#
 楼主| 发表于 2010-8-27 14:43:54 | 只看该作者
没人么……
回复 支持 反对

使用道具 举报

1

主题

13

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
438
QQ
威望
241
贡献
191
兑换币
0
注册时间
2010-10-12
在线时间
3 小时
3#
发表于 2011-1-2 16:10:10 | 只看该作者
if(ATD0STAT1_CCF7==1)改成if(ATD0STAT1_CCF7==1);加一个分号
回复 支持 反对

使用道具 举报

3

主题

75

帖子

0

精华

金牌会员

Rank: 6Rank: 6

积分
1672
威望
940
贡献
486
兑换币
320
注册时间
2012-3-23
在线时间
123 小时
4#
发表于 2012-7-2 16:38:43 | 只看该作者
判断if(ATD0STAT0_CCF7==1)
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|亿加合和智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-5-20 02:21 , Processed in 0.048164 second(s), 33 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表