智能车制作

 找回密码
 注册

扫一扫,访问微社区

收藏本版 (16) |订阅

PCB设计 今日: 0|主题: 890|排名: 9 

作者 回复/查看 最后发表
悬赏 [封装/库] 原理图出错就不能生成pcb图吗 - [悬赏 1 贡献] attach_img DYC66 2017-2-27 31973 hbcxzdx 2018-6-3 15:31
悬赏 [封装/库] PCB 原理图 六路电磁传感器放大模块,红树伟业 - [悬赏 5 贡献] attachment 上杉绘梨衣ldy 2018-2-22 21710 行者无_SYifW 2018-2-24 07:59
悬赏 [基础知识] 飞线有的有,有的没有 新人帖 - [悬赏 3 贡献] 上杉绘梨衣ldy 2018-2-9 41306 时光钓客 2018-2-11 14:19
悬赏 [封装/库] 原理图和pcb图引脚数不一样怎么编译 新人帖 - [悬赏 10 贡献] 破烂书房 2017-4-6 01773 破烂书房 2017-4-6 19:32
悬赏 [基础知识] 发布悬赏了!!!来快来 - [悬赏 30 贡献] attach_img  ...2 严鸿雁 2015-9-22 142357 严鸿雁 2015-9-30 09:04
悬赏 [规范规则] 原理图生成PCB时老出错,改了好久改不对 - [悬赏 1 贡献] attach_img 夕阳在右 2015-4-19 91650 天真灰 2015-5-26 02:09
悬赏 [基础知识] 布线问题 - [悬赏 20 贡献] 1013956044 2015-4-2 91575 wjhdeznclt 2015-5-17 16:46
悬赏 [PROTEL] 最近有在研究一些模块电路,有一些模块电路的功能弄不清楚,求助诸位 - [悬赏 5 贡献] luomusic 2012-10-21 52131 为爱执笔 2015-3-18 12:39
悬赏 [方法技巧] 我的AD10不怎么智能,求大神指教! - [悬赏 3 贡献] 无声的承诺 2014-7-10 102372 LuZ 2014-7-11 19:06
悬赏 [基础知识] 求救,真的很急!!! - [悬赏 5 贡献] 别和我争潘小小 2014-3-13 11314 feng004 2014-5-11 15:43
悬赏 [PROTEL] win7 32位系统如何安装运行AD9? - [悬赏 4 贡献] 君木铎 2013-8-29 33019 wangyao19920204 2013-9-10 22:33
悬赏 [方法技巧] AD 13生成pdf - [悬赏 1 贡献] loveme06 2013-8-31 01370 loveme06 2013-8-31 10:57
悬赏 [基础知识] 做出来的 板子 不能够用 怎么回事? - [悬赏 1 贡献] attachment noparkinghere 2013-7-17 31937 脑袋有病 2013-8-21 21:54
悬赏 [工具与教程] 哪位大神有Altium Designer 64位机能用的给小弟发一份多谢啊! - [悬赏 3 贡献] 1224 2013-3-7 62433 1224 2013-3-8 22:20
悬赏 [方法技巧] Altuim Designer 布线问题,你能解决吗 - [悬赏 2 贡献] attach_img wzy521 2012-12-6 72706 zjcxsys 2013-2-28 11:36
悬赏 [规范规则] 用Protel 2004 想设置允许丝印层重合在规则里怎么设置 求大神出手相救 - [悬赏 10 贡献] 幽*介 2013-1-12 12175 潘潘 2013-1-13 08:48
悬赏 [其他] PCB制板中设置单层板为什么会出现错误? - [悬赏 1 贡献] attach_img 谢江浩 2012-12-15 31814 洋葱圈 2012-12-15 21:34
悬赏 [基础知识] 最近有在研究一些模块电路,有一些模块电路的功能弄不清楚,求助诸位 - [悬赏 5 贡献] attach_img luomusic 2012-10-22 41691 qq84260300 2012-10-31 15:16
悬赏 [封装/库] 急需一份MC9SXS128 112引脚的PCB封装库!!!!!!! - [悬赏 5 贡献] 璜ノ亅丶峫 2012-5-14 33740 新手菜鸟 2012-8-22 15:38
悬赏 [基础知识] PCB单层布线怎么解决交叉问题? - [悬赏 5 贡献] attach_img 篮孩子 2012-4-18 44447 要努力 2012-4-20 16:06

快速发帖

还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|亿加合和智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-5-4 23:51 , Processed in 0.049401 second(s), 19 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

返回顶部 返回版块