智能车制作

 找回密码
 注册

扫一扫,访问微社区

查看: 4438|回复: 6
打印 上一主题 下一主题

ECT模块 运用代码问题

[复制链接]

0

主题

2

帖子

0

精华

注册会员

Rank: 2

积分
126
威望
174
贡献
22
兑换币
0
注册时间
2008-11-6
在线时间
0 小时
跳转到指定楼层
1#
发表于 2008-11-15 21:07:03 | 只看该作者 回帖奖励 |倒序浏览 |阅读模式
<>#include &lt;hidef.h&gt;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; /* common defines and macros */<BR>#include &lt;mc9s12dg128.h&gt;&nbsp;&nbsp;&nbsp;&nbsp; /* derivative information */<BR>#pragma LINK_INFO DERIVATIVE "mc9s12dg128b" <BR>unsigned char PUlSECOUNT;<BR>void main(void) {<BR>&nbsp; /* put your own code here */</P><P>&nbsp; DDRB=0xFF; //设置portb为输出<BR>&nbsp; PORTB=0xFF;//portb端口的初始值<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //关闭全部中断<BR>&nbsp; TIOS=0x00;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //设置ect通道0的工作方式<BR>&nbsp; TSCR1=0x80;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //定时器使能,正常工作<BR>&nbsp; TSCR2=0x01;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //禁止定时器溢出中断,预分频因子为2<BR>&nbsp; TCTL4=0x02;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //仅仅捕捉下降边沿<BR>&nbsp; TIE=0x01;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //允许ect通道0中断<BR>&nbsp; TFLG1=0x01;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //第0通道中断标志清零<BR>&nbsp;// DLYCT=0x01;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //延迟256个总线时钟<BR>&nbsp; PUlSECOUNT=0x01;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; <BR>&nbsp;&nbsp; EnableInterrupts;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; //开启全部中断<BR>&nbsp; for(;;) {PORTB=~PUlSECOUNT;} /* wait forever */<BR>&nbsp; /* please make sure that you never leave this function */<BR>}<BR>#pragma CODE_SEG NON_BANKED<BR>void interrupt 8 pause(void) <BR>{<BR>&nbsp;&nbsp; TFLG1=0x01; <BR>&nbsp;&nbsp; if(PUlSECOUNT==0x80) <BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; {<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PORTB=~PUlSECOUNT;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PORTB=0xFF;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PUlSECOUNT=0x01;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; }<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PORTB=~PUlSECOUNT;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PUlSECOUNT&lt;&lt;=1;<BR>}<BR>#pragma CODE_SEG DEFAULT</P><P>出现很奇怪的问题!就是说我用函数发生器输入方波!信号!用ECT模块捕捉下降边沿!通过跑马灯(LED)的原理!通过portb显示结果!</P><P>但是不知道为什么?跑马灯只是走了一趟,第一个走到第8个,之后就显示led灯全灭!然后就没反应了!请大家帮忙看看究竟是哪里除了问题!</P>

0

主题

2

帖子

0

精华

注册会员

Rank: 2

积分
126
威望
174
贡献
22
兑换币
0
注册时间
2008-11-6
在线时间
0 小时
2#
 楼主| 发表于 2008-11-15 21:31:10 | 只看该作者

Re:ECT模块 运用代码问题

<>什么问题呢?</P><P>&nbsp;</P>
回复 支持 反对

使用道具 举报

14

主题

929

帖子

1

精华

功勋会员

WJ

Rank: 10Rank: 10Rank: 10

积分
6304

特殊贡献奖章

威望
1456
贡献
4674
兑换币
17
注册时间
2008-4-6
在线时间
87 小时
3#
发表于 2008-11-16 08:30:05 | 只看该作者

Re:ECT模块 运用代码问题

<>#pragma CODE_SEG NON_BANKED<BR>void interrupt 8 pause(void) <BR>{<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;PORTB=~PUlSECOUNT;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PUlSECOUNT&lt;&lt;=1;&nbsp;&nbsp;</P><P>&nbsp;&nbsp; &nbsp;if( !PUlSECOUNT) <BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; {<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; PUlSECOUNT=0x01;<BR>&nbsp;&nbsp;&nbsp;&nbsp;&nbsp; }<BR>}</P><P>你的程序太繁琐了。</P>
回复 支持 反对

使用道具 举报

1

主题

20

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
371
威望
269
贡献
76
兑换币
50
注册时间
2009-1-18
在线时间
13 小时
4#
发表于 2009-1-24 04:22:06 | 只看该作者

Re:ECT模块 运用代码问题

你单步跟踪一下就会发现了实际上进入中断服务程序之后就没出来,我今天也遇到了这种情况,还没解决呢,没法帮你了,只能告诉你原因了,知道怎么解决了请把方法发上来,谢谢
回复 支持 反对

使用道具 举报

4

主题

53

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
376
威望
253
贡献
35
兑换币
0
注册时间
2009-6-30
在线时间
44 小时
5#
发表于 2009-9-28 16:57:37 | 只看该作者
lz,你说的函数发生器是什么意思啊??
回复 支持 反对

使用道具 举报

8

主题

172

帖子

0

精华

金牌会员

http://iyinjia.taobao.com光电资 .

Rank: 6Rank: 6

积分
1046
威望
510
贡献
460
兑换币
22
注册时间
2010-1-17
在线时间
38 小时
6#
发表于 2010-3-28 10:02:50 | 只看该作者
不明白
回复 支持 反对

使用道具 举报

0

主题

64

帖子

0

精华

中级会员

Rank: 3Rank: 3

积分
326
威望
234
贡献
78
兑换币
0
注册时间
2011-2-17
在线时间
7 小时
7#
发表于 2011-2-20 09:00:39 | 只看该作者
XUEXI
回复 支持 反对

使用道具 举报

您需要登录后才可以回帖 登录 | 注册

本版积分规则

关于我们|联系我们|小黑屋|智能车制作 ( 黑ICP备2022002344号

GMT+8, 2024-7-4 02:56 , Processed in 0.161905 second(s), 37 queries , Gzip On.

Powered by Discuz! X3.2

© 2001-2013 Comsenz Inc.

快速回复 返回顶部 返回列表